基于FPGA的电梯控制器系统设计

分享到:
点击量: 217898

  摘 要:本文首先提出了一种基于有限状态机的电梯控制器算法,然后根据该算法设计了一个三层电梯控制器,该电梯控制器的正确性经过了仿真验证和硬件平台的验证。本文的电梯控制器设计,结合了深圳信息职业技术学院的实际电梯的运行情况,易于学生理解和接受,对于工学结合的教学改革,是一个非常好的实践项目。另外,本文提出的电梯控制器算法适合于任意楼层,具有很强的适应性和实用性。

  电子设计自动化技术是19世纪末21世纪初新兴的技术,其在数字电路设计和日常的控制系统中已经体现了强大的功能和优势。随着EDA技术的高速发展, 电子系统设计技术和工具发生了深刻的变化,大规模可编程逻辑器件FPGA的出现,给设计人员带来了诸多的方便。HDL(硬件描述语言)是随着可编程逻辑器件(PLD)发展起来的,主要用于描述数字系统的结构、行为、功能和接口,是电子设计自动化(EDA)的关键技术之一。它通常采用一种自上而下的设计方法,即从系统总体要求出发进行设计。

  目前从期刊杂志中看到一些采用FPGA实现电梯控制系统的设计文章,在这些文章中看不到针对任意楼层的控制器算法,而针对任意层数的控制器算法是保证控制器实用性和适用性的关键。因此,本文尝试采用EDA技术来设计一个N层电梯控制系统,具体思路是:首先给出电梯控制器的算法,然后在硬件平台上实现并验证。

  1 电梯控制系统要求

  电梯控制系统通常包含图1中的功能:电梯升、降、停;电梯门开、关;请求信号显示、楼层显示;超载、故障报警。其中超载、故障报警需要用到传感器,该控制相对比较简单,因此本文不再展开讨论。

  本文着重讨论涉及其他功能的控制器算法。

  针对深圳信息职业技术学院**教学楼的电梯,其电梯控制器实现了以下功能:

  (1)电梯内部每层均有相应的STop按钮;电梯外部除顶层外每层都有up按钮,除底层外每层都有down按钮;up按钮被按下表示该层有人要去高层,down按钮被按下表示该层有人要去低层,stop按钮被按下表示该层有人要出电梯。对于stop、up、down按钮,当被按下后,相应的指示灯亮,直到该请求被满足后,指示灯才灭;

  (2)电梯运行过程中,上升、下降、停止时相应的指示灯要亮,楼层随时显示;

  (3)电梯上升过程中,首先满足向上的需求,对于低层或者向下的需求,在电梯上升过程中会记录该需求,然后在电梯向上需求全部满足后电梯再次下降的过程中给予满足;

  (4)电梯下降过程中,首先满足向下的需求,对于高层或者向上的需求,在电梯下降过程中会记录该需求,然后在电梯向下需求全部满足后电梯再次上升的过程中给予满足。

  本文设计的电梯控制器,其基本要求就是满足上述实际运行电梯的要求。

  2 电梯控制系统实现

  2.1 整体方案设计

  整体设计由四个模块组成,各模块功能具体描述如下:

  a. 分频器模块:该模块实现了任意时钟频率输入,任意频率输出的功能,输出频率精度为1Hz;模块输入为系统工作时钟clk,系统复位信号rst,输出为分频时钟。模块定义如下:

  module freq_div(reset,clk,keyclk,liftclk);

  模块中keyclk为处理按键时钟,liftclk为电梯运行控制时钟。

  b. 按键请求模块:该模块实现了记录并处理各楼层的up、down和stop按钮被按下的情况,模块端口如下:

  module key_req(

  reset,keyclk,

  stop, //电梯间内部各层按钮,每1位代表1层,当相应位置1时表示指示该层的按钮被按下;

  up, //各楼层up按钮(顶层无),每1位代表1层,当相应位置1时表示该层up按钮被按下

  down, //各楼层down按钮(底层无),每1位代表1层,当相应位置1时表示该层down按钮被按下;

  stop_r, //电梯内各层按键信息

  up_r, //电梯外各层向上按键信息

  down_r //电梯外各层向下按键信息

  );

  c. 电梯控制器模块和指示模块:该模块根据各层按钮被按下的情况,控制电梯运行,并设置指示灯。模块定义如下:

  module Lift_cONtrol(

  keyclk, //处理按键时钟

  liftclk, //电梯运行控制时钟

  reset, //电梯复位按钮,复位后电梯停在一楼;

  stop_r, //电梯内各层按键信息

  up_r, //电梯外各层向上按键信息

  down_r, //电梯外各层向下按键信息

  position, //当前楼层位置,每1位代表1层,当相应的位置1时表示电梯运行至该层;

  stoplight, //内部各层按钮指示灯,每1位代表1层,当相应位置1时表示指示该层指示灯亮;

  uplight, //除顶层外各层外部按钮指示灯,每1位代表1层,当相应位置1时表示该层up灯亮;

  downlight, //除首层外各层外部按钮指示灯,每1位代表1层,当相应的位置1时表示该层的down指示灯亮;

  doorlight); //用于开门指示灯,为1表示开门,为0表示关门

  d. 显示模块:该模块用于译码显示当前电梯所在楼层,模块定义如下:

  module Display(liftclk,position,disp);